|
글쓴이 :
관리자
작성일 : 17-12-22 19:45
조회 : 1,967
|
안녕하세요? 고객님,
저희 뉴티씨 제품을 이용하여 주셔서 감사합니다.
네, tb에서 실제로는 없는 모듈명으로 인스턴스를 생성해도 생성은 되지만,
실제로 해당 모듈을 불러서, 시뮬레이션을 하려하면, 존재하지 않기 때문에,
문법상 에러없이 컴파일은 되어도, 값을 불러오지 못하므로,
시뮬레이션 결과는 값이 없음으로 뜨게 됩니다.
ModelSim에서 시뮬레이션 하면 빠르지만,
최신 Quartus에서 University Program VFW를 선택하여 시뮬레이션 하면,
tb 작성 없이도 직접 클럭을 그래피컬하게 만들어줘서 시뮬레이션 하실 수도 있어 편리합니다.
감사합니다.
>
>
> 안녕하십니까, 다음과 같은 현상에 대한 질문을 드립니다.
>
> 교재: Altera Cyclone4E와 Quartus II & ModelSim을 이용한 FPGA 설계
> 강좌 2. 로직 시뮬레이터 사용법
>
> 위의 강좌에서 Simulation.v와 tb_Simulation.v를 작성하는 과정에서
> Simulation_tb.v 파일에 다음과 같이 모듈 인스턴스의 이름을 다르게 해도
> 컴파일 과정에서 오류가 나지 않고 있읍니다.
>
> 이유를 알려주실 수 있을런지요..?
>
> --------- tb_Simulation.v -------------
> `timescale 1ns/10ps
> module tb_Simulation;
> reg clk, reset;
> wire [7:0] led_out;
>
> initial
> begin
> #0 reset = 0;
> #20 reset = 1;
> end
>
> initial
> begin
> #0 clk = 0;
> end
>
> always
> #5 clk = ~clk;
>
>
> Nothing u1 (
> .clk(clk),
> .reset(reset),
> .led_out(led_out) );
> endmodule
> -----------------------------------------
>
|
|