뉴티씨



  • HOME
  • 고객지원
  • 질문답변

 

 
Quartus II 컴파일 관련
 글쓴이 : 정태진
작성일 : 17-12-22 10:30
조회 : 1,865  
안녕하십니까, 다음과 같은 현상에 대한 질문을 드립니다.

교재: Altera Cyclone4E와 Quartus II & ModelSim을 이용한 FPGA 설계
      강좌 2. 로직 시뮬레이터 사용법

위의 강좌에서 Simulation.v와 tb_Simulation.v를 작성하는 과정에서
Simulation_tb.v 파일에 다음과 같이 모듈 인스턴스의 이름을 다르게 해도
컴파일 과정에서 오류가 나지 않고 있읍니다.

이유를 알려주실 수 있을런지요..?

--------- tb_Simulation.v -------------
`timescale 1ns/10ps
module tb_Simulation;
reg clk, reset;
wire [7:0] led_out;

initial
begin
#0 reset = 0;
#20 reset = 1;
end

initial
begin
#0 clk = 0;
end

always
#5 clk = ~clk;


Nothing u1 (
.clk(clk),
.reset(reset),
.led_out(led_out) );
endmodule
-----------------------------------------

 
   
 

 


1